微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > quartus调用modelsim仿真问题

quartus调用modelsim仿真问题

时间:10-02 整理:3721RD 点击:
大家好我用quartus生成modelsim仿真的test.vt文件,在里面例化了被测试模块,然后initial了时钟个复位,可以仿真
现在我把激励也作为一个模块加进来,clk.v,并添加到工程中,仿真的时候就出现了问题
modelsim提示找不到clk.v
是不是只能在modelsim里新建工程才能这样做

你说的不清不楚,我只能猜测你的步骤:
(1)Qii写test.v;
(2)modelsim仿真test.v;可以仿真;
(3)Qii写clk.v,添加到Qii工程;
(4)modelsim仿真test.v,提示找不到clk.v;
如果是上述步骤的话,你应该用Modelsim新建工程,把clk.v和test.v都包含到工程里,再仿真



   是的因为我之前是在qii中调用modelsim,不是在modelsim中新建工程,所以总是提示找不到clk.v
谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top