用create_generated_clock时,其-source是否只能为主时钟,不能为另一个子时钟
时间:10-02
整理:3721RD
点击:
用create_generated_clock创建子时钟,看到一篇文章讲,子时钟的-source只能是主时钟,不能是另一个子时钟。而在一篇文章中,由于需要对DDR进行约束,文章中创建了如下时钟:
create_clock -period $_period -name clkin [get_ports clkin]
# Create the cascaded clock
create_generated_clock \
-name dqsclk_steer0 \
-source [get_attribute[get_clocks clkin] sources] \
-divide_by 1 \
-master_clock clkin \
-add [get_pins dqsand/A2]
create_generated_clock \
-name dqsoutclk \
-source [get_attribute [get_clocks dqsclk_steer0] sources] \
-divide_by 1 \
-master_clock dqsclk_steer0 \
-add \
[get_ports dqs]
它在创建第二个子时钟时,以第一个子时钟dqsclk_steer0作为source,这种约束是否可行?会带来什么问题?
create_clock -period $_period -name clkin [get_ports clkin]
# Create the cascaded clock
create_generated_clock \
-name dqsclk_steer0 \
-source [get_attribute[get_clocks clkin] sources] \
-divide_by 1 \
-master_clock clkin \
-add [get_pins dqsand/A2]
create_generated_clock \
-name dqsoutclk \
-source [get_attribute [get_clocks dqsclk_steer0] sources] \
-divide_by 1 \
-master_clock dqsclk_steer0 \
-add \
[get_ports dqs]
它在创建第二个子时钟时,以第一个子时钟dqsclk_steer0作为source,这种约束是否可行?会带来什么问题?
-source 选项只能为源时钟,小编提到的这种方法倒是从没用过,不知是否可行,回头试试再说。
有这样的说法吗?只能是主时钟吗
可以是上一级时钟,而且是推荐这种设置方法,不要求必须是主时钟
-source [get_attribute [get_clocks dqsclk_steer0] sources] \
这句话不就是获得上一级时钟的SOURCE时钟吗?所以楼上的说的不对
纠结于这种问题啊,望达人详解……
