微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > quartus 2 64 13.0仿真出错

quartus 2 64 13.0仿真出错

时间:10-02 整理:3721RD 点击:

# Error loading design
# Error: Error loading design
#        Pausing macro execution
# MACRO ./counter_run_msim_rtl_verilog.do PAUSED at line 12程序是没有问题的,每次安装了只能仿真一次,以后每次都报这个错。
图片如下:


同问                                         

不要do文件,一步步走试试

顶。

顶。。

同问。

请问解决了吗

重新创建一次tb文件,在导入一次就可以了,我出现过好几次了,可能是因为改变了工程文件,重新编译后,tb文件对应不上来就会报这个错。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top