微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 关于是否可综合的问题

关于是否可综合的问题

时间:10-02 整理:3721RD 点击:
“在进行信号定义的语法结构中,对信号赋初始值的操作是不可综合的,只能用来仿真。”
请教一下各位,我在一段VHDL中对一个定义的数组信号赋初始值,仅此一个操作,然后通过spi协议与dsp传输,并且在监控屏上显示出来了,这是否理解为“综合后”的结果?可又与上面一段话相违背,该如何理解?

initial begin
    test = 5;
end
不可综合
assign test = 5; 可综合
always@(posedge clk)
begin
    test <= 5;
end
可综合

不好意思 小生刚接触这个 不是很懂
恳请您说的通俗易懂点

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top