微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 有没有做过FPGA通过SPI对ADC进行读写操作?

有没有做过FPGA通过SPI对ADC进行读写操作?

时间:10-02 整理:3721RD 点击:
选了一款ADI公司的AD4000  2MSPS 16bitADC,看文档的时候 感觉里面给的时序很简单。
然后真正写的时候,就遇到好多麻烦。
我要使用AD4000的TURBO模式,因此,需要在开启ADC之后对ADC内的寄存器进行依次写操作,在写的同时也要读取数据。
我选择的使4线TURBO模式,无busy indicator。
有没有用过这款ADC的,可以把代码发给我借鉴一下?(673347062@qq.com)


你用什么语言呢,晚上回家给你发个vhdl的

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Entity AD_RD is
  Port (
                clk,io,st:in std_logic;
                sclk,ce:buffer std_logic;
                dat:out integer range 0 to 255
        );
End AD_RD;
Architecture main of AD_RD is
signal sp:integer range 0 to 31:=0;
signal sp2:integer range 0 to 1;
signal temst:std_logic:='0';
begin
process(clk)
        variable temdat:std_logic_vector(0 to 7):="00000000";
       
        begin

        if clk'event and clk='1' then
           case sp2 is
                        when 0 =>
                                if st='1' then
                                        temst
                                if st='0' then
                                sp2
                                ce
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                sclk
                                dat
                                ce null;
                end case;
        end if;
        end if;
end process;
end main;

不好意思。有没有verilog语言的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top