微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > 一款基于FPGA和DDS的数字调制信号发生器设计

一款基于FPGA和DDS的数字调制信号发生器设计

时间:04-07 来源:互联网 点击:

际的DDS结构中Ⅳ虽然很大,而M总为10位左右。

1.2 数字调制方式的基本原理

用数字基带信号将载波信号变换为数字带通信号(已调信号),以使数字信号在带通信道中传输,其过程叫做数字调制(digital modulat ion)。一般数字调制跟模拟调制的基本原理相同,但是数字信号具有离散取值的特点。因此数字调制技术有两种方法:一是利用模拟调制方式去实现数字式调制;二是利用数字信号的离散取值特点通过开关键控载波,从而实现数字调制。这种方法通常称为键控法,比如对载波的幅度、频率和相位进行键控,即可得到幅移键控(ASK)、频移键控(FSK)和相移键控(PSK)3种基本的数字调制信号。而数字信息有二进制和多进制之分,因此数字调制可分为二进制调制和多进制调制。在二进制调制中,信号参量只有两种可能的取值。当调制信号是二进制数字基带信号时,这种调制称为二进制数字调制。在二进制数字调制中,载波的幅度、频率和相位只有两种变化状态,相应的调制方式有二进制幅移键控(2ASK)、二进制频移键控(2FSK)和二进制相移键控(2PSK)。

根据以上数字调制方式的基本原理,基于FPGA和DDS技术,利用DSP Builder工具软件,即可设计并实现数字调制信号发生器。

2 数字调制信号发生器设计

2.1 系统结构图

数字调制信号发生器系统结构图如图2所示。

2.1.1 控制单元

控制单元部分主要完成数据的输入及控制等功能。为了使所设计的数字调制信号发生器具有抗干扰能力强、相关技术参数灵活可调等优点,此单元以单片机为中心,配以其他辅助电路,其控制作用通过汇编语言编程后下载到单片机来完成,即分别实现对DDS的3个输入频率字、相位字及幅度字进行键控选择,从而实现频移键控(FSK)、相移键控(PSK)和幅移键控(ASK)3种基本的数字调制,反映载波信号的频率、相位及幅度变化特征,最终得到FSK、PSK、ASK的数字调制信号。

2.1.2 DDS模块

DDS模块是本系统的关键,图2中DDS模块里的DDS基本结构图如图1所示,采用FPGA实现。

2.2 基于DDS的数字调制信号发生器设计

首先,利用Matlab和DSP Builder设计出基本DDS模型,再基于DDS子系统,利用其产生的正弦信号作为载波信号,以二进制数字基带信号为调制信号,实现二进制数字调制信号,进而在控制单元的作用下实现二进制频移键控(2FSK)、二进制相移键控(2PSK)和二进制幅移键控(2ASK)3种基本的二进制数字调制,最后完成基于FPGA的数字调制信号发生器的设计。

图3为数字调制信号系统模型。其中控制单元实现频率字、相位字及幅度字等数据。

输入及数字调制方式的选择等功能。

此模型的核心是DDS子系统,其具体结构如图4所示。DDS子系统共有3个输入,分别是32位频率字输入、10位相位字输入、10位幅度字输入;一个10位DDSout输出。通过改变相位字输入、频率字输入及幅度字输入,即可获得所需输出波形。

通过控制单元可依次实现2ASK、2PSK、2FSK调制。若图3中译码器输入为00,可实现2PSK的数字调制,图5为2PSK模型设计图。

由图可知,利用二选一多路数字选择器(n-to-1Multiplexer)模块对基本DDS模型的相位字进行键控,即在控制单元的作用下对所输入的两个相位字进行选择就可以实现2PSK调制。如图6所示2PSK调制的仿真波形。

同理,若图3中译码器输入为01,可实现2FSK的数字调制,图7为2FSK模型设计图。利用二选一多路选择器模块对基本DDS模型的频率字进行键控,即对两个频率字(相位增量)进行选择就可以实现2FSK调制。如图8所示为2FSK调制的仿真波形。

进而,将图3中译码器输入设为10,可实现2ASK的数字调制,图9为2ASK模型设计图,即利用二选一多路选择器对基本DDS模型的幅度字进行键控,从而实现对两个幅度字的选择,并采用乘法器(Product)来完成2ASK调制,如图10所示为2ASK调制的仿真波形。

3 数字调制信号发生器硬件实现

建模算法仿真完成后,选择让DSP Builder自动调用QuartusII软件,完成综合(Synthesis)、网表生成(ATOM Netlist)、QuartusII适配,最后通过QuartusII在FPGA上完成硬件实现后,经DAC进行模数转换,再经低通滤波器后,可以在示波器上看到一致的波形输出。

4 结束语

文中基于FPGA和DDS技术,利用Matlab/Simulink、DSPBuilder、QuartusⅡ3个工具软件进行基本DDS建模,在DDS模块的基础上,根据数字调制方式的基本原理,通过单片机等电路组成的控制单元的逻辑控制作用,设计并实现了数字调制信号发生器,其具有频率分辨率高、稳定度高、抗干扰能力强、相关参数灵活可调等优点,而且设计方法方便实用。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top