微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 硬件工程师文库 > FPGA与单片机实现数据串行通信的解决方案

FPGA与单片机实现数据串行通信的解决方案

时间:02-11 来源:网络整理 点击:

oddb2(5) xor oddb2(4) xor oddb2(3) xor oddb2(2) xor oddb2(1) xor oddb2(0);

sout1<=tsr1(0);--数据位

tsr1(6 downto 0):=tsr1(7 downto 1);

tsr1(7):='0';

txcnt_r<=txcnt_r+1;

if (txcnt_r=7) then

state<=odd2;

end if;全文查看

when odd2=> --奇校验位

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top