微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > nc_verilog如何dump出fsdb波形啊(已解决)

nc_verilog如何dump出fsdb波形啊(已解决)

时间:10-02 整理:3721RD 点击:

各位大牛,nc_verilog怎么dump出fsdb波形啊,网上的方法都试过了,但是dump出来的波形拉到verdi里没有信号,是一块蓝色的区域,信号值都是NV...
tb中已经加入$fsdbDumpfile("wave.fsdb");
$fsdbDumpvars(6, tb);

用PLI的时候$> ncverilog +access+wrc +loadpli1= ./nc_loadpli1/debpli.so : debpli_boot rtl.files,经常报错。

nc的选项里面要加上+define+fsdb

加了,fsdb文件也生成了,但就是用verdi打开时,信号区域是一大块蓝色区,信号值都是NV

解决了,是因为tb里加入了$fsdbDumpoff,时间太短了

NC支持VHDL,你的文件的命名格式可能不对吧。

study

请教一下,+loadpli1= ./nc_loadpli1/debpli.so : debpli_boot rtl.files,这个命令中的 rtl.files是什么东西?你的代码的文件列表还是说这个命令必须要带这么个东西,后面再单独增加一个文件列表?

再问一句,我试用了和你的同样的命令,为什么不能生成FSDB,还出现illegal Access specification(),括号内为我指定的绝对路径?非常感谢

再问一句,为什么我按照你发的这个来结果却出现了 illegal Access specification这样的错误?

$fsdbDumpvars(6, tb); 请问括号里的是什么含义?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top