微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > nc_verilog使用

nc_verilog使用

时间:10-02 整理:3721RD 点击:
大家好~ 小弟初识ic 设计工具 想问一下cadence 中的内嵌nc—verilog工具和 单独使用时的nc_verilog
工具有什么区别吗?应该是一回事吧?望指教啊。

没啥区别,自己动手试试就知道了

一样的,会有很多名字,比如说nclaunch、ncsim、verilog-xl、ncverilog都是cadence的...工具其实都没什么区别,重要是理论水平的提升

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top