微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > NC是不是不支持参数化的class?

NC是不是不支持参数化的class?

时间:10-02 整理:3721RD 点击:
RT
报错提示:expecting an equal sign ('=') [SystemVerilog - 6.3.3]
classa_test_base #(type a_env) extends uvm_test;
...
endclass

Syntactically this identifier appears to begin a datatype but it does not refer to a visible datatype in the current scope.
`uvm_component_utils(a_tets_base#(a_env))

#(type T =a_env)

额 见过这种写法 回头可以试一下 但是vcs的直接编译运行都是没问题的。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top