微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > modelsim10.0c + uvm-1.1d源码仿真工程搭建问题求指教

modelsim10.0c + uvm-1.1d源码仿真工程搭建问题求指教

时间:10-02 整理:3721RD 点击:
正在学习张强的《UVM 实战》,学到2.2.4遇到了问题,加入virtual interface 这里,用的win10 32,modelsim10.0c + uvm-1.1d源码。
编译通过了



但是在跑的时候遇到了问题,



你们遇到这个问题没有,如何处理?
在win10 32,modelsim10.0c + uvm-1.1d源码运行时,例子的源码需要小小的修改,我的学习笔记在Github上。
本人初学者,欢迎交流讨论!
https://github.com/kippy620/uvm

WINDOWS下就用questasim吧,<installer>/uvm_1.1d/winXX/目录下就已经包含了编译好的uvm_dpi.dll不需要自己编译,不知道你这自己编译的是不是有问题。

恩恩,好的,考虑换下,就是前期用modelsim运行hello_word是可以的,加入driver,factory机制和objectin机制都通过了,但是加入virtual interface失败了,

lz我最近也在学这本书 加个qq一起探讨下?

好的,你学的怎么样了,我学卡壳了

我的建议是把questasim或者modelsim 版本升上去 你的太老了。

Thx .......................

sb了 论坛竟然没给我发提示 以为你没看到呢 hanaiying1991@sina.com 给我发邮件联系吧

可否加qq大家一起聊一下呢?我研二了,接触这个一直入不了门。很惆怅。214763854,真的求有人指导,花钱点也想。

已发qq好友申请



必须顶

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top