虚接口不识别问题
时间:10-02
整理:3721RD
点击:
- program automatic test ();
- initial
- begin
- $display("XXXXXXXXXXXXXXXXX");
- virtual Utp_tx vtx_if;
- end
- endprogram
-
- interface Utp_tx (input logic clk) ;
- logici_vld;
- logic [7:0] i_data ;
- clocking cb @(posedge clk);
- outputi_vld;
- outputi_data ;
- endclocking
- modport TB_tx(clocking cb) ;
- modport DUT_tx (inputi_vld,
- inputi_data ) ;
- endinterface
以上代码分别在test.sv和Utp_tx.sv中。运行时会报错:
** Error: D:/Project/self_study/SV/interface/test_prg.sv(6): Illegal declaration after the statement near line '4'.Declarations must precede statements.Look for stray semicolons.
就是在虚接口声名那一块。我试过在文件开头加`include "Utp_tx.sv",调整编译顺序等,都不行。用的是questasim 10.1破解版。
请问这是错在哪儿了?
把 virtual Utp_tx vtx_if; 这一句放在initial前试一试。
$display("XXXXXXXXXXXXXXXXX");
virtual Utp_tx vtx_if;
变量声明放其他语句前面。这不是虚接口的问题。
比如如下代码:
a = 1;
b = 2;
int c;
也会编译报错。
多谢多谢!的确是这里出的问题!
study