微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 虚接口不识别问题

虚接口不识别问题

时间:10-02 整理:3721RD 点击:

  1. program automatic test ();
  2. initial
  3. begin
  4. $display("XXXXXXXXXXXXXXXXX");
  5. virtual Utp_tx vtx_if;
  6. end
  7. endprogram

复制代码



  1. interface Utp_tx (input logic clk) ;
  2. logici_vld;
  3. logic [7:0] i_data ;

  4. clocking cb @(posedge clk);
  5. outputi_vld;
  6. outputi_data ;
  7. endclocking

  8. modport TB_tx(clocking cb) ;
  9. modport DUT_tx (inputi_vld,
  10. inputi_data ) ;
  11. endinterface

复制代码


以上代码分别在test.sv和Utp_tx.sv中。运行时会报错:
** Error: D:/Project/self_study/SV/interface/test_prg.sv(6): Illegal declaration after the statement near line '4'.Declarations must precede statements.Look for stray semicolons.
就是在虚接口声名那一块。我试过在文件开头加`include "Utp_tx.sv",调整编译顺序等,都不行。用的是questasim 10.1破解版。
请问这是错在哪儿了?

把 virtual Utp_tx vtx_if; 这一句放在initial前试一试。

$display("XXXXXXXXXXXXXXXXX");
virtual Utp_tx vtx_if;
变量声明放其他语句前面。这不是虚接口的问题。
比如如下代码:
a = 1;
b = 2;
int c;
也会编译报错。

多谢多谢!的确是这里出的问题!

study

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top