微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于questasim中的多核仿真的问题

关于questasim中的多核仿真的问题

时间:10-02 整理:3721RD 点击:
今天无意中听到同学说道现在的仿真软件都是单进程的,因此就算用多核处理器,速度也提升不大,因此回来看了questasim中Multi_Core Simulation这个帮助文件,由于是英文文件,因此看得是云里雾里。有下面几个问题恳请各位同仁回答一下:1.为什么仿真软件不写成多进程?
2.目前主流的仿真是否要配置多核仿真?
3.多核仿真比不配置多核仿真的话效率能搞多少?
4.也是最关键的,如何配置多核仿真?自己虽然看到大概步骤,但没有验证,恳请过来人指点一二...呵呵

谢谢

把DUT切割分刀到不同的cpu去运行。

小编说的明白一点

小编想要什么呢?

questasim是单核仿真的,即使计算机是4核或8核,在仿真时也只有一个核在全速运行。questasim的多核仿真之前我咨询过。是指将一个DUT分割成几个部分,例如两个部分。然后分布到两个CPU上去运行仿真。因此需要两个questasim和两个配置程序(我忘记叫什么名字了)。听说有单位上了一个questasim多核仿真的设备,据说仿真速度提高很大。因为没有亲见,所以具体提高多少未知。

Thank you

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top