uvm中的run_test函数
时间:10-02
整理:3721RD
点击:
各位大大,uvm中的run_test函数是啥意思呀?
我跑库中的producer_consumer例子,原程序中含有run_test函数,仿真时间一直是零;去掉之后可以推动仿真时间,但是fifo没有被使用到了。
求助各位回答一下啦
我跑库中的producer_consumer例子,原程序中含有run_test函数,仿真时间一直是零;去掉之后可以推动仿真时间,但是fifo没有被使用到了。
求助各位回答一下啦
我也是才开始学,run_test是开始运行测试案例,如果脚本运行 会有一个 UVM_TESTNAME 定义指定一个案例,如果直接进入工具交互操作,这个函数可以带一个参数,想要运行的case的名字
估计这个例子没有消耗时间吧。
我只能说我是这么用的,在top module中:
initial begin
run_test();
end
以后在命令行中添加testcase,比如是reg_read.sv,添加方式是:
+UVM_TESTNAME=reg_read
就可以了。
这样说会有误解,你的回复隐含了“teset case class的名字与文件名同名”这一条件,但是你没有说出来。通俗的说,run_test("xxx")或者+UVM_TESTNAME=xxx,这个xxx指的是class xxx extends uvm_test; 且必须与`uvm_component_utils(xxx)一致
是的,你分析的很对。另外我也是初学,遇到一些问题,下面链接我的问题,你帮我看一下吧。
http://bbs.eetop.cn/thread-590282-1-1.html
谢谢!
恩恩,是的,谢谢啦~
恩恩,谢谢~