微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于UVM中一个警告的疑问

关于UVM中一个警告的疑问

时间:10-02 整理:3721RD 点击:
# UVM_WARNING @ 0: uvm_test_top.env [UVM_DEPRECATED] build()/build_phase() has been called explicitly, outside of the phasing system. This usage of build is deprecated and may lead to unexpected behavior.
# UVM_WARNING @ 0: uvm_test_top [UVM_DEPRECATED] build()/build_phase() has been called explicitly, outside of the phasing system. This usage of build is deprecated and may lead to unexpected behavior.
环境:qustasim 10.2c win64,UVM_1.1d
想问一下这个是什么问题导致的警告?谢谢

已经找到解决方法...

请问是如何解决的,我现在也遇到了同样的问题啊,求帮助

不要显式调用build_phase就没事,UVM不推荐这么做

一般不都是在某个类定义build_phase(uvm_phase phase)中调用吗?如:super.build_phase(phase);

super没有关系,不要直接build_phase就行。取决于m_phasing_active的值是不是0
有兴趣参考1.1d
./base/uvm_component.svh:2269
以及uvm_topdown_phase的traverse()

好的,多谢指教

q请问你有见过这样的warning吗?
374 UVM_WARNING /opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/seq/uvm_sequencer_base.svh(1436)@ 0.0 ns: uvm_test_top.sve.regular_env.arbiter.sequencer [UVM_DEPRECATED] Registering sequence 'uvm_random_sequence' with sequencer 'uvm_test_top.sve.regular_env.arbiter.sequencer' is deprecated.
375 UVM_WARNING /opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/seq/uvm_sequencer_base.svh(1436)@ 0.0 ns: uvm_test_top.sve.regular_env.arbiter.sequencer [UVM_DEPRECATED] Registering sequence 'uvm_exhaustive_sequence' with sequencer 'uvm_test_top.sve.regular_env.arbiter.sequencer' is deprecated.
376 UVM_WARNING /opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/seq/uvm_sequencer_base.svh(1436)@ 0.0 ns: uvm_test_top.sve.regular_env.arbiter.sequencer [UVM_DEPRECATED] Registering sequence 'uvm_simple_sequence' with sequencer 'uvm_test_top.sve.regular_env.arbiter.sequencer' is deprecated.

1349 UVM_WARNING /opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/seq/uvm_sequencer_base.svh(1520)@ 0.0 ns: uvm_test_top.sve.regular_env.slaves[0].sequencer [UVM_DEPRECATED] Starting (deprecated) defaultsequence 'vr_ahb_slave_default_seq' on sequencer 'uvm_test_top.sve.regular_env.slaves[0].sequencer'. See documentation for uvm_sequencer_base::start_phase_sequence() for information on starting default sequences inUVM.
1350 UVM_WARNING /opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/seq/uvm_sequencer_base.svh(1520)@ 0.0 ns: uvm_test_top.sve.regular_env.masters[0].sequencer [UVM_DEPRECATED] Starting (deprecated) defaultsequence 'vr_ahb_master_random_seq' on sequencer 'uvm_test_top.sve.regular_env.masters[0].sequencer'. Seedocumentation for uvm_sequencer_base::start_phase_sequence() for information on starting default sequencesin UVM.
1351 UVM_WARNING /opt/cadence/INCISIV132/tools/methodology/UVM/CDNS-1.1d/sv/src/seq/uvm_sequencer_base.svh(1520)@ 0.0 ns: uvm_test_top.sve.regular_env.arbiter.sequencer [UVM_DEPRECATED] Starting (deprecated) default sequence 'vr_ahb_arbiter_default_seq' on sequencer 'uvm_test_top.sve.regular_env.arbiter.sequencer'. See documentation for uvm_sequencer_base::start_phase_sequence() for information on starting default sequences in UVM.

是提示我的sequencer和sequence有问题吗?还是只是个warning?

不知道你是不是用了uvm_update_sequence_lib类似的宏

没有用uvm_update_sequence宏没有用,但是其他一些宏倒是用到过,如:uvm_sequence_utils, uvm_rand_send, uvm_field_object等一些宏,这些会有什么影响吗?



应该是无意间用了uvm_update_sequence_lib

请教,如果用了uvm_update_sequence_lib(_and_item)这个宏,应该怎么去掉呢?

上一篇:UVM验证环境搭建
下一篇:UVM求助

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top