微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求助:关于uvm的interface的获取问题

求助:关于uvm的interface的获取问题

时间:10-02 整理:3721RD 点击:
各位:
我在top.sv中这样为drv配置interface:
video_ifmy_vdo_if(clk,rst_n);
initial beign
uvm_config_db#(virtual video_if)::set(null,"uvm_test_top.venv.i_agt.drv","vif",my_vdo_if);
end
而在driver.sv中获取interface的代码如下:
class video_driver extends uvm_driver #(video_trans);
virtual video_if vif;
.....
virtual function void build_phase(uvm_phase phase);
.....
if(!uvm_config_db#(virtual video_if)::get(this,"","video_if",vif))
`uvm_fatal("IF_FATAL",”Error for video driver to getting interface!“ );
.......
endfunction
........
endclass
可是在用irun跑的时候就出现了这个IF_FATAL,不知道我是哪个地方写错了,导致这个问题出现的呢?

if(!uvm_config_db#(virtual video_if)::get(this,"","vif",vif)),第三个参数应该是相同的

哦。改过来果然对了。看样子是我对uvm_config_db的理解有误。
谢谢了!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top