微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM求助

UVM求助

时间:10-02 整理:3721RD 点击:


我在run UVM的时候,报warning

UVM_WARNING /eda/synopsys/VCS/etc/uvm/seq/uvm_sequencer_base.svh(1520) @ 0 ps: uvm_test_top.u_tb.u_vir_seqr [UVM_DEPRECATED] Starting (deprecated) default sequence 'example_test_vseq' on sequencer 'uvm_test_top.u_tb.u_vir_seqr'. See documentation for uvm_sequencer_base::start_phase_sequence() for information on starting default sequences in UVM.
百度搜索了一下,说是语法太老,我用了
uvm_update_sequence_lib

  • Do not use the deprecated uvm_update_sequence_lib(_and_item). Start sequences with start

那么,请教大家:

function new(input string name, uvm_component parent=null);
super.new(name, parent);
`uvm_update_sequence_lib
endfunction : new
这个uvm_update_sequence_lib,应该怎么替换成start?

删除。

删除之后,simulation就不对了,空跑了一圈,什么都没执行

这是在virtual sequencer里面定义的

uvm_config_db#(uvm_object_wrapper)::set(this,"vseqr.main_phase","default_sequence",my_seq::type_id::get());

uvm_config_db#(uvm_object_wrapper)::set(this,"seqr.main_phase","default_sequence",my_seq::type_id:get());

1、删除。
2.seq.start(xxsqr)
脑补基础知识。

,刚刚接手UVM环境额,之前验证环境用的还是OVM的架构,不知道seq.start()这种东西,,要多找几本书看看了。谢谢额

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top