微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM验证环境搭建

UVM验证环境搭建

时间:10-02 整理:3721RD 点击:
各位大牛好,小弟现在在学习UVM验证方法学,请问下搭建UVM验证环境,除了相应的源码外,还需要安装哪些工具才行。最好有个step_by_step的教程。
谢谢了

VCS软件包和UVM的包,FORMALITY,

你好,最近也在学习UVM,想要搭建环境,你的环境搭建好了么

同求,谢谢

同求+1,看来需求的人还很多!

看我刚发的帖子,linux下有面vcs的话只需要库就可以了,window下面还要安装gcc。不懂的帖子问我。

里面我写的自己感觉很详细了,希望对大家有用。UVM+VCS+Verdi平台。还有window下面的平台参考。

请问小编发的帖子在哪里,想学习一下,谢谢!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top