微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM用于时序验证的问题

UVM用于时序验证的问题

时间:10-02 整理:3721RD 点击:
各位UVM大侠们,现在好多UVM的书籍都是讲的功能验证,那么UVM能不能用于时序验证呢?

时序验证也就是和后仿真,和语言没关系。

那么我用UVM搭建的用于功能验证的验证平台是否可以用于时序验证呢?使用UVM搭建的验证平台进行功能仿真时使用的测试用例能不能用于时序验证?谢谢!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top