微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > modelsim10.0c + uvm-1.1b源码仿真工程搭建问题求指教

modelsim10.0c + uvm-1.1b源码仿真工程搭建问题求指教

时间:10-02 整理:3721RD 点击:
在学习uvm,使用modelsim10.0c想跑一个1.1b里面simple的例子,找了一个TLM里面bidr的代码,
编译通过,但是simulate报错,提示是:
** Fatal: (vsim-7019) Can't locate a C compiler for compilation of DPI export tasks/functions.
#
#Time: 0 nsIteration: 0Unknown: <UNKNOWN> File: UNKNOWN
# FATAL ERROR while loading design
# Error loading design

不太明白是什么意思,modelsim10.0c里面是有uvm的库的,DPI之类的是神马。
仿真新手求各位大侠指教,多谢!

自己又制作了一个uvm_dpi.dll,但还是不行,报错是一样的,看上去貌似是没有找到c编译器,是不是哪里路径设置的不对?

鼓捣了半天,下了一个gcc-4.2.1-mingw32vc9,解压到modelsim路径下,问题解决,sigh..

问个问题哈,我使用的是10.d版本的。编译顺序是
2.c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include$UVM_HOME/src/dpi/uvm_dpi.cc -o$UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi hello_world。
但是在跑的时候遇到了,
7.# HDL call sequence:
# Stopped at producer.sv 60 Task hello_world/producer::run_phase
# called fromd:/copy/uvm-1.1b/src/base/uvm_common_phases.svh 245 Task uvm_pkg/uvm_run_phase::exec_task
# called fromd:/copy/uvm-1.1b/src/base/uvm_task_phase.svh 150 Function uvm_pkg/uvm_task_phase::execute。
另外在win32aloem少了两个文件:dpghelp.dll imagehlp.dll,网上下了两个。
我看那个地方是:oid'(p.randomize());
你遇到这个问题没有

这个是正常的吧,至少编译和run已经没问题了

我也遇到这个问题了,试试

好啊!谢谢你了!感谢!

JDKDDKKDJDK

貌似解压完还有问题

请教一下,你使用的编译命令是什么啊? 我也在安装目录中解压了modelsim-gcc-4.2.1-mingw32vc9.zip,但是sccom命令却识别不了,说是gcc编译器不存在。

modelsim10.0c 可以跑uvm吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top