微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > systemverilog、modelsim

systemverilog、modelsim

时间:10-02 整理:3721RD 点击:
新手用ModelSim-Altera 6.6d (Quartus II 11.0)仿真这个网站http://www.asic-world.com/examples上的systemverilog验证例子,modelsim报这个错误 SystemVerilog Program Blocks are not supported in Modelsim PE。用modelsim 10.0能解决这个问题吗?

哪位高手能帮下忙吗

modelsim报这个错误 SystemVerilog Program Blocks are not supported in Modelsim PE

现在换成了modelsim 10.0解决了这个问题,但是又出现不支持##1的问题,A default clocking block must be specified to use the ##n timing statement. 已经定义了时钟块啊,请问怎么回事呢,是不是modelsim对systemverilog支持不完全啊。

你使用了##n这种方式来进行delay,这么用的前提是你设置了default clocking,不然工具怎么知道这个n是代表n个何种clock?你检查一下,是不是没有设置default clocking?

看过!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top