微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 为什么modelsim10.2里有UVM的库,但HELLO_WORLD例程还是无法编译

为什么modelsim10.2里有UVM的库,但HELLO_WORLD例程还是无法编译

时间:10-02 整理:3721RD 点击:
vlog -work work -vopt -sv D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv
Model Technology ModelSim SE-64 vlog 10.2 Compiler 2013.02 Feb2 2013
** Error: D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv(1): Cannot open `include file "D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_pkg.sv".
-- Compiling module hello_world_example
-- Importing package uvm_pkg (uvm-1.1c Built-in)
** Error: D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv(4): Cannot open `include file "D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_macros.svh".

`include "uvm_pkg.sv"
module hello_world_example;
import uvm_pkg::*;
`include "uvm_macros.svh"
initial begin
`uvm_info("info1","Hello World!", UVM_LOW);
end
endmodule: hello_world_example

去搜一下questa文档,关键字incdir

vlog +incdir+{D:\modeltech64_10.2\uvm-1.1c\src} -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF {D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv}
# Model Technology ModelSim SE-64 vlog 10.2 Compiler 2013.02 Feb2 2013
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(1): Cannot find `include file "uvm_pkg.sv" in directories:
#{D:modeltech64_10.2uvm-1.1csrc}, D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src
# -- Compiling module hello_world_example
# -- Importing package uvm_pkg (uvm-1.1c Built-in)
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(4): Cannot find `include file "uvm_macros.svh" in directories:
#{D:modeltech64_10.2uvm-1.1csrc}, D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(6): (vlog-2163) Macro `uvm_info is undefined.
#
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(6): near "(": syntax error, unexpected '('
# D:/modeltech64_10.2/win64/vlog failed.
这里似乎语法用对了,但是里面包含的头文件等库信息找不到,还希望高人指点一下。谢谢

+incdir+<dir>Search directory for files included with
#`include "filename"

不得不承认,modelsim用起来还是很不习惯

4楼正解

这个还真没用过

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top