微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 用VCS工具编译UVM报错

用VCS工具编译UVM报错

时间:10-02 整理:3721RD 点击:
各位:最近在用VCS来编译和仿真UVM平台。在编译UVM时,uvm_config_db #语法,#编译语法错误。我用的VCS 2011.03.不知道是不是版本的原因。

哪位遇到过此问题,求教

不是工具版本的原因,我的2010版本的都没有问题。uvm_config_db后面记得不要有空格,貌似是你这个原因造成的,你试试看?

还是不行,

是不是要加载UVM库之类的东西?

有人说是工具版本的问题。哪位大神遇到过同样的问题,求分享。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top