微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM实战第一章例程在modelsim SE 10.0c 进行编译不过去啊出现错误,

UVM实战第一章例程在modelsim SE 10.0c 进行编译不过去啊出现错误,

时间:10-02 整理:3721RD 点击:
对UVM实战第一章例程在modelsim SE 10.0c 进行编译不过去啊出现如下错误

modsim>vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF f:/sv/eetop.cn_modelsim/top.sv
# Model Technology ModelSim SE vlog 10.0c Compiler 2011.07 Jul 21 2011
# -- Compiling module dut
# -- Compiling interface my_if
# -- Compiling package top_sv_unit
# -- Importing package mtiUvm.uvm_pkg (uvm-1.0p1 Built-in)
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: my_agent.sv(22): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_transaction.sv(19): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_agent.sv(22): Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: my_agent.sv(22): Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: my_sequence.sv(16): Field/method name (do_not_randomize) not in '__seq'
# -- Compiling interface my_if
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'
# ** Error: Field/method name (m_uvm_cycle_scopes) not in '__m_uvm_status_container'
# ** Error: Field/method name (do_not_randomize) not in '__seq'
# -- Compiling module top
# D:/fpga/modeltech_10.0c/win32/vlog failed.

用的uvm库不一样吧

top里面要有这几句话:
`include "uvm_pkg.sv"---(1)
module top_tb;
import uvm_pkg::*;---(2)
`include "uvm_macros.svh"---(3)

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top