微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > verilog中能够像systemverilog那样收集覆盖率信息吗?

verilog中能够像systemverilog那样收集覆盖率信息吗?

时间:10-02 整理:3721RD 点击:
我想知道在verilog中能够像systemverilog那样收集覆盖率信息吗?希望大家讨论一下

像SV是肯定不行的,因为那些特性都是SV的语法,verilog不支持。自己开发一套类似的东西收集其实也是可以的。

编译时加上-sv选项就可以了,.v中直接加入sv代码。

不是办法的办法

keyicde

收集覆盖率是工具所为,和语言有何关系?

verilog只是语言,sv中的覆盖率收集是工具自带的,当然你如果觉得不好用可以自己来写。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top