微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教如果在uvm driver中加延时

请教如果在uvm driver中加延时

时间:10-02 整理:3721RD 点击:
我有一个driver,作为一个master驱动spi,就是说这个driver要产生时钟,我需要控制时钟频率,验证开始时配置即可,第一个时钟沿出来的时间,
请教如何在driver中实现这个?

item里面写即可。

没明白啊,还望进一步指教一下

UVM 1.1 User’s Guide的36页,有关于driver的写法。可以在run—phase添加时钟。要执行的详细动作,可以单写一个任务,插到run-phase里。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top