微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > LINUX虚拟机环境下跑modelsim 生成fsdb时出错 求助

LINUX虚拟机环境下跑modelsim 生成fsdb时出错 求助

时间:10-02 整理:3721RD 点击:
现象时生成fsdb进程有错误
首先是报这样的warning
# *Novas* WARN:
#
#<ModelSim61> will be deprecated in an upcoming release.
#Please use NEW dumper instead with the following instructions.
#1. Set shared library path to ${NOVAS_INST}/share/PLI/MODELSIM/${PLATFORM}
#%setenv LD_LIBRARY_PATH ${NOVAS_INST}/share/PLI/MODELSIM/${PLATFORM}
#2. Re-run simulation and link new objects files for FSDB dumping.
#%vsim top -pli novas_fli.so
#Refer to the ${NOVAS_INST}/doc/linking_dumping.pdf manual for complete details.
#
# *Novas* Create FSDB file './wave/tb.fsdb'
# *Novas* Begin traversing the scope(tb_top.tb_u), layer(0).
# *Novas* End of traversing the scope(tb_top.tb_u)
然后报错了
... Insufficient memory ...
... Insufficient memory ...
... (memoryUsed=0 wanted=1048576) ...
# Attempting stack trace sig6
# Signal caught: signo [6]
# vsim_stacktrace.vstf written
# Current time Tue Jul 30 16:04:15 2013
# ModelSim Stack Trace
# Program = vsim
# Id = "6.5e"
# Version = "2010.02"
# Date = "Feb 26 2010"
# Platform = linux
# 00xb7fa1410: '<unknown (@0xb7fa1410)>'
# 10x005016fc: '<unknown (@0x5016fc)>'
# 20xb6c9ea62: '<unknown (@0xb6c9ea62)>'
# 30xb6c9eab7: '<unknown (@0xb6c9eab7)>'
# 40xb6c96dce: '<unknown (@0xb6c96dce)>'
# 50xb6ca1306: '<unknown (@0xb6ca1306)>'
# 60xb6c90e29: '<unknown (@0xb6c90e29)>'
# 70xb6c90877: '<unknown (@0xb6c90877)>'
# 80xb6ca0d3f: '<unknown (@0xb6ca0d3f)>'
# 90xb6c65439: '<unknown (@0xb6c65439)>'
# 100x080624ab: '<unknown (@0x80624ab)>'
# 110x08129784: '<unknown (@0x8129784)>'
# End of Stack Trace

求解啊

哎 顶个贴吧 ,看小编这么可伶巴巴的 虽然我解决不了你的问题

不过希望我自己不会出现这样的问题上帝保佑

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top