微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > LINUX虚拟机环境下 modelsim 生成fsdb时出现错误

LINUX虚拟机环境下 modelsim 生成fsdb时出现错误

时间:10-02 整理:3721RD 点击:
首先报warning
#
# *Novas* WARN:
#
#<ModelSim61> will be deprecated in an upcoming release.
#Please use NEW dumper instead with the following instructions.
#1. Set shared library path to ${NOVAS_INST}/share/PLI/MODELSIM/${PLATFORM}
#%setenv LD_LIBRARY_PATH ${NOVAS_INST}/share/PLI/MODELSIM/${PLATFORM}
#2. Re-run simulation and link new objects files for FSDB dumping.
#%vsim top -pli novas_fli.so
#Refer to the ${NOVAS_INST}/doc/linking_dumping.pdf manual for complete details.
#
# *Novas* Create FSDB file './wave/tb_pcie_packet.fsdb'
# *Novas* Begin traversing the scope(tb_top.sbc_top_u), layer(0).
# *Novas* End of traversing the scope(tb_top.sbc_top_u)
然后报错
# tb_top.u_nand_model_00.uut_0.INFO at time 100.000 ns: PowerUp Complete.
... Insufficient memory ...
... Insufficient memory ...
... (memoryUsed=0 wanted=1048576) ...
# Attempting stack trace sig6
# Signal caught: signo [6]
# vsim_stacktrace.vstf written
# Current time Tue Jul 30 16:04:15 2013
# ModelSim Stack Trace
# Program = vsim
# Id = "6.5e"
# Version = "2010.02"
# Date = "Feb 26 2010"
# Platform = linux
# 00xb7fa1410: '<unknown (@0xb7fa1410)>'
# 10x005016fc: '<unknown (@0x5016fc)>'
# 20xb6c9ea62: '<unknown (@0xb6c9ea62)>'
# 30xb6c9eab7: '<unknown (@0xb6c9eab7)>'
# 40xb6c96dce: '<unknown (@0xb6c96dce)>'
# 50xb6ca1306: '<unknown (@0xb6ca1306)>'
# 60xb6c90e29: '<unknown (@0xb6c90e29)>'
# 70xb6c90877: '<unknown (@0xb6c90877)>'
# 80xb6ca0d3f: '<unknown (@0xb6ca0d3f)>'
# 90xb6c65439: '<unknown (@0xb6c65439)>'
# 100x080624ab: '<unknown (@0x80624ab)>'
# 110x08129784: '<unknown (@0x8129784)>'
# End of Stack Trace
** Fatal: (SIGABRT) Bad pointer access. Closing vsimk.
** Fatal: vsimk is exiting with code 206.
(Exit codes are defined in the ModelSim messages appendix
of the ModelSim User's Manual.)
# ** Warning: (vsim-3116) Problem reading symbols from /lib/libc.so.6.
# Cannot allocate memory. (errno = ENOMEM)
# ** Warning: (vsim-3116) Problem reading symbols from /home/fangjian/tools/verdi/verdi/share/PLI/modelsim_pli_latest/LINUX/libpli.so.
# Cannot allocate memory. (errno = ENOMEM)
# ** Warning: (vsim-3116) Problem reading symbols from /usr/modelsim_65f/modeltech/linux/vsimk.
# Cannot allocate memory. (errno = ENOMEM)

请遇到类似情况的朋友指教

你应该将LM_LICENSE_FIEL只指定给modelsim.这样这个问题就解决了.

DO you have docs?

顶一个

非常感谢 顶一个

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top