微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM 运行错误

UVM 运行错误

时间:10-02 整理:3721RD 点击:
请教使用VCS UVM运行错误:
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_878_0':
(.text+0x63f7d): undefined reference to `uvm_dpi_get_next_arg_c'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_988_0':
(.text+0x74b4c): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_990_0':
(.text+0x74daf): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1010_0':
(.text+0x77ed7): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1010_0':
(.text+0x77f4d): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_1562_0':
(.text+0xc8883): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_1562_0':
(.text+0xc88f9): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1567_0':
(.text+0xc943d): undefined reference to `uvm_dpi_regcomp'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1567_0':
(.text+0xc9662): undefined reference to `uvm_dpi_regexec'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1567_0':
(.text+0xc9b9c): undefined reference to `uvm_dpi_regfree'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2795_0':
(.text+0x15285d): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2795_0':
(.text+0x1528e2): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2800_0':
(.text+0x153f28): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2800_0':
(.text+0x153fad): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_3117_0':
(.text+0x17c74c): undefined reference to `uvm_hdl_check_path'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_3122_0':
(.text+0x17ce9e): undefined reference to `uvm_hdl_check_path'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_3127_0':
(.text+0x17d2b1): undefined reference to `uvm_hdl_read'
请问是我漏掉了什么吗?
UVM_HOME已经设置,编译可以通过,可是运行的时候报错。谢谢!

Already fixed. It's because of the HOME setting.
Thanks!

Hi 这个问题是怎么fix的?我也碰到了这个问题 着急解决,Thanks!

Hi这个问题是怎么fix的,多谢!急用

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top