微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > icc pt

icc pt

时间:10-02 整理:3721RD 点击:
进入pt后,发现有80多个max transiton的错。可以用pt fix 一部分,但是还有大概50多个,如果一条一条去 icc找 就太慢了,有没有更加快捷的方法。关键不知道插入多大的buf比较合适?求大神指点

我倒是有一个主意 focal_opt -drc_pins vio.txt -prioritize 将report_constraint 中的violation摘录下来 后面加入violation的值,效果一般 不是很好

transtion的话首先考虑升高load的vt或者降低drive的vt。其次考虑插buffer,一般x8就足够了

谢谢你的回复,减低drive的vt实际上是提高drive的驱动能力。升高load的vt 实际上是降低load。这样理解对吧?我这个不是多电压的设计。另外插入buff,每条线都去找,比较耗时间。有更好的方法吗?谢谢

focal_opt -drv_nets all ,
才50个就不能手动了?以前几百个都是写脚本修的,现在工具强大了,比以前简单多了

谢版大,手动吧。我在icc中 将tran改小一点 ,然后在focal_opt -drc_net all.

50个就不手修了,曾经手修DRC一星期的飘过

我这个是因为项目比较赶,另外设计也不大

可以add_buffer_on_route, 不怎么影响routing, 写个脚本应该很快可以弄好。
现在PT的physical aware eco的flow里面也支持修transition

focal_opt是在PT使用的吗?我route_opt后有不少违例,使用了focal_opt -hold_endpoints all试图修复违例的setup/hold,但是执行后这两种违例都暴增,请问大家遇到过没?我的步骤问题何在,请教

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top