微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 询问有关encounter寄生参数提取后后仿无延迟信息

询问有关encounter寄生参数提取后后仿无延迟信息

时间:10-02 整理:3721RD 点击:
问题背景:工艺:TSMC0.25
问题:
RT,小编在PR以后用encounter使用指令extractRC rcOut获得XXX.spef以后,用PT生成xxx.sdc文件,用于后仿中,但是在波形查看的时候并没有任何延迟,波形图像如同在RTL级进行的前仿,而使用相同的设计环境进行工艺为SMIC0.18工艺的操作,后仿可以明显看到延迟信息,请问遇到此问题,该如何解决?
因为设计环境应该是没有问题,所以不知道会是什么工艺文件的问题,其中沟通以后更换了captable文件,但是仍然有此问题,想知道在encounter中提取寄生参数,涉及到的工艺文件有哪些?
有遇到相同问题的小伙伴,一起讨论解决一下呗~

顶起来

后仿应该是反标sdf文件

在查看sdf文件的时候,可以确定的是延迟时序已经加入到sdf文件中了

你让edi 直接出sdf看看
write_sdf,分别在2个环境里面,

你用PT直接写出sdf文件,拿去后仿看看

PT写出的SDF也不行,DC写出的SDF可以产生延迟波形

小编你说直接让EDI出?具体就是不extraRC?然后直接write sdf?,现在我在补充一下我的实验,当我综合DC的时候产生sdf文件,此时拿去仿真可以明显看到有延迟波形,但是用PT去做sdf文件再去就没有延迟波形了,然后就是edi产生也是一样,之前都是用edi产生spef再去PT做sdf的,我觉得流程应该没问题,然后去用相同的设计环境但是换成smic的工艺,到了后仿也是有延迟波形的

感觉论坛现在的互动性不强了,讨论问题的人越来越少。回答的人越来越少。更多的只是看看。

顶起来!支持一下,同问,请问小编解决了吗?

挽尊啊

挽尊!每天看看

PT和DC导出的sdf文件版本一样么?既然sdf里面你确定有延时信息了,而后仿表现不出来,那就只能怀疑延时信息没有被反标上去。
ps:该不会你后仿用的是DC综合后的网表吧?哈哈。

关于朋友你的分析,我再说说,首先一开始的后仿是用encounter生成spef文件,再倒入到PT中生成sdf文件,然后通过这个sdf作后仿真,然后查看这个生成的sdf文件,可以明确的看出文件里是有延迟信息的,但是在波形查看的时候却无法查到;对于上述情况,我进行了分析,查看是工艺文件的问题还是自身的设计环境问题,然后进行了如下测试:
1、先直接由DC综合完成后生成sdf文件和网表文件,将此两个文件用于后仿,此时可以明确的在波形中看出延迟;
2、将DC综合完后的网表和sdc文件用在pt中,由PT生成sdf文件,将DC综合完后的网表和PT生成的SDF文件用于后仿可以发现,此时已经在波形仿真中看不到延迟了,说明问题就是出在DC和PT两个之中;
3、按照之前小编说的直接由encounter生成sdf文件,再根据PR以后生成的网标文件一起进行后仿,报了很多warning,但是从波形中查看仍然是没有延迟的,其中也进行过sdf文件版本的修改和测试,依然不能产生波形延迟,但是sdf文件里都是有延迟参数在里面的
PS:后仿一开始用的encounter的生成的网表文件。

等待高人回复啊,这个问题应该不止我一个人发现吧

顶起来!

你现在用的啥工艺文件?

现在是用TSMC0.25的工艺,但是后仿没有延迟出来,但是用PT做的sdf文件里又有延迟在文件里,而设计环境又没什么问题,所以问一下有问题的地方会出在哪儿?

小编我再补充一下现在我所发现的情况,现在根据PR以后生成的spef文件导入PT后生成的sdf文件,在这个sdf文件里是可以很容易的发现延迟已经很成功的加载入了sdf文件里,所以可以确定这个sdf文件应该是没有问题的,但是现在用这个sdf文件和PR以后生成的门级网表文件一起做后仿,跑VCS,产生fsdb文件通过verdi来查看波形,而此时看到的波形跟理想状况下的功能仿真,一样,就是没有一点儿延迟进入,所以我想知道这样的一个现象,我们的问题到底出在哪儿?附:在运行的后仿时候,所使用的testbench文件中我们引入了如下代码在testbench.v里
initial
begin
$sdf_annotate("design.sdf",design,,"design.log","TYPICAL",,);
end
initial
begin
$fsdbDumpfile("design.fsdb");
$fsdbDumpvars;
end
在后仿VCS时候,并没有报任何error和warning

小编头像不错 我灌水了 我错了

为什么消息提醒里面看到你回复了,这个帖子里就没有呢?怪

有试过指定 MAX/Min 吗?听说有些sdf里面没有typical的值,即使你是在typical corner下产生的sdf。

请不要灌水,还是多想想问题出在哪儿,OK?

小编还没看到吗?期待你的解答啊,看看是不是我还有什么没做到的?或者还需要我做什么测试?

过了一晚上看到了,神奇 啊
$sdf_annotate("design.sdf",design,,"design.log","TYPICAL",,);试试不要TYPICAL呢?

小编,去掉也试过了,不行的,我现在就是在想问题是不是出在我用encounter抽取这个spef文件的时候,我想问一下这个额外的问题,我在使用encounter的时候抽取这个spef文件的指令如下:
setExtractRCMode -coupled true -engine detail
extractRC -outfile ./out/xx_route.rc
rcOut -best -cUnit pF -opTemp -40 -spef ./out/xxx_best.spef -rc_corner (请问这个选项应该选用什么参数呢?)
rcOut -worst -cUnit pF -opTemp 125 -spef ./out/xxx_worst.spef -rc_corner (请问这个选项应该选用什么参数呢?)

这个还没有试过,现在在考虑PR时候抽取这个spef文件是不是也有问题,你看看楼上我回复的信息,看看你能帮我想到些什么?

setExtractRCMode -coupled true改为false试试。
false的时候是用来做普通的timing check的;true是用来做SI的,你的是0.25的,一般涉及不到SI这个问题,所以false就够用了。
另外,rcOut这个命令是否需要setload/setres这个option来指定你的xx_route.rc文件
我没用过EDI直出spef的flow过,你试试看再说。

小编,按照你的要求我先把你说setExtractRCMode -coupled false了,现在有一个问题是在rcOut上,因为rcOut指令下有个选项是-rc_corner这个,所以我先是create_rc_corner -name rc_best -cap_table ../lib/xxx.captable,但是我在跑rcOut的时候却报error,就是这个rc_corner这个选项貌似没对,我想知道这个问题出在哪儿?是我的指令不全吗?

问题解决没?求真相啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top