微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > set_drive ,set_load

set_drive ,set_load

时间:10-02 整理:3721RD 点击:
180nm工艺dc综合里set_load,set_drive的值具体根据什么参考来约束啊,一点概念都没有 ,请大家帮帮忙了 。

根据输入端的驱动及输出端的负载的实际情况来定的啊……

谢谢回答,不过我是新手,能不能具体说下到哪去看实际的输入驱动,输出负载的值呢?麻烦了

如果你会布局布线的话
随便赋一个值,完成综合,然后做后端
从后端拿到一个精确值,返回来定driver和load,再重新做综合

这就是物理综合的概念,DC综合刚开始时是很粗略的估计延迟的,通过在综合与布局布线之间的不断迭代,DC获得.sdf中的值才能变得精确
呵呵,刚刚开始学,说错了恳请指教

不完全对。物理综合是指的在综合阶段软件通过预布局来确定线的长度,而不使用wire load model,或者在关键路径上不使用WLM。
物理综合需要软件的支持才可以。
从后端的数据来指导前端综合对于不支持物理综合的综合软件也是同样成立的。道理上都是利用后端信息,但是具体含义还是不同的。

谢谢大家的回答,学习了,但是如果输入输出是已经做好的pad,到后面布局布线之后并没有链接其他电路,这样还是不知道输入驱动,输出负载的值。

如果有PAD其实更好办了。直接看PAD的文档,里面会有说明他的驱动能力和负载
在DC里面可以直接将PAD设为输入口的驱动单元

可以调用单元来定义 load 和 drive

谢谢!

set_drive 很少用了

一般用set_driving_cell ,set_load用来约束output port,
set_driving_cell/set_input_transition 用来约束input port
对于pad输出, load一般是10~30pf (很大了),
对于模块输出 ,load一般是 0.XXX pf
对于input transition,一般比查找表再大些的范围内

学习中。

学习了~

小编,对于带pad的设计,输出的用set_load,在考虑将来可能用示波器探针测试,所以我给的约束是set_load 15 [get_ports "PAD_x_out"]
但是,对input pad的set_driving_CELL我就不知道应该要怎么约束了?还有对input pad,存在时钟和复位两个pad,他们的约束和一般信号的约束有区别么?

同样疑惑,请问解决了吗?

请问下布局后的精确值是在哪里查看的呢?是布局后就可以确定了吗?还是说布线后还会改变呢(虽然布线应该不会对驱动和负载产生太大影响)?
另外,请问下布局时的iopad是怎么添加的呢?有人说可以用APR工具提取一个,那要怎么操作呢?如果是手写一个包含pad的顶层模块的话,需要通过综合吗?
谢谢。

这个问题怎么没人回答啊?同问~请求回答

这个我也不会呀~!

请问下从布局布线出来的结果怎么看set_load和set_driving呢?从什么地方可以看出来?谢谢!
另外再问下在DC中设置了set_load和set_driving,那么在dc综合生产的SDC中就包含有set_load和set_driving的信息,
做PNR时工具会自动检测这些信息,难道在做PNR读入数据时,SDC中的set_load和set_driving应该不要吗?谢谢

解决了俺N久的疑问。感谢分享!

学习了

版大,问个有点钻的问题,为什么设置set derive 0 就是指阻抗无穷大呢? 这个0具体是指设置什么呢?我看了ug 貌似是电阻值啊,如果电阻值是0阻抗应该就是无穷小吧,为何网上都说是无穷大呢?

set_drive是设置电阻值,电阻为0代表输入端驱动能力无穷大,输入信号的transition time为零。

mark 一下

mark一下

学习了~

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top