微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > encounter关于route的设置

encounter关于route的设置

时间:10-02 整理:3721RD 点击:
各位大侠:在setnonaroute 有这样的设置
-routeDesignFixClockNetsfalse
-routeDesignRouteClockNetsFirsttrue
如果这样设置的话,是否在route 的时候 clock tree 就会被重新route呢?
另外在max min 两种情况下,clock skew 会不同嘛?

缺省clockDesign后, clock nets自动被fixed,
我觉得上面的选项还是会动tree, 我一般都是2个false的,我不太用routeDesign,
喜欢globalDetailRoute,
当然是min corner下skew小啊,因为本身的值小啊

如果在CTS时,已经route了clock tree,nanoroute就不会再去route它了,
-routeDesignFixClockNetsfalse时,在post-route fix DRC时,会稍微动一点clock tree的leaf net

小编可否说明一下什么原因让你中意用globalDetailRoute 呢?

routeDesign, clockDesign 这些都是supercommand,就是很多命令的集合,
可以一个命令干很多事情,
比如 route, via opt, 等,
globaldetailroute就是route,可以慢慢控制, 然后用detailroute干别的事情,

我感觉 clockdesign, routedesign是不是出的很晚的命令,集合命令

楼上正确

学习了

这两个设置的值都是EDI里的默认值。
-routeDesignFixClockNetsfalse
-routeDesignRouteClockNetsFirsttrue
第一个option控制要不要unfix clock nets,第二个option控制要不要先起一个globalDetailRoute来绕clock nets。第二个option只有第一个是false的时候才起作用。
以这个设置,如果clock nets都已经绕好的话,除非有DRC violaitons,第一个globalDetailRoute会很快结束,不会碰它们。但是在接下来的绕所有regular nets的第二个globalDetailRoute里,clock nets是有可能被touch到的(为了修其它nets的DRC violations)。

太对了!

屁精屁精!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top