微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请教用VCD文件功耗分析的问题

请教用VCD文件功耗分析的问题

时间:10-02 整理:3721RD 点击:
大家好!
我想在encounter中做power analysis,我先在encounter中生成了网表文件,再放入modelsim中生成VCD文件。我在testbench中加了如下语句的:
initial
begin
$dumpfile("design.VCD");
$dumpvars;
end
然后运行仿真。
现在的问题是,我看网上大家都说这个VCD文件很大,可以达到3G,但是我的只有100M左右,况且我的设计还比较大,几十万门,我在想是不是我的VCD文件生成有问题?
另外,我把VCD文件导入到encounter中做power analysis,没有能够正确报出功耗,出现如下内容:
power supply: 1.98 volt
average power between 0.0000e+00 S and 1.4743e-02 S
Total id in vcd file: 38276
In module alu valid id: 0
redundant id: 0
In module alu invalid id: 0
redundant id: 0
Total activity in vcd file: 3.19318e+07
In module alu valid activity: 0
In module alu invalid activity: 0
average power(default) : 2.2020e-02 mw
average switching power(default): 0.0000e+00 mw
average internal power(default): 0.0000e+00 mw
average leakage power(default): 2.2020e-02 mw
user specified power(default): 0.0000e+00 mw
switching power和internal power都是0,所以肯定是有问题的,上面的"alu"是我顶层模块的名称。大家知道可能是什么原因造成的吗?

1# rodgersli vcd文件大小主要看你选取的时间段
switch power也跟你选取的时间段有关,或者是你的clock根本没有反转

这个不太懂,我们一般都用的是SAIF来仿power的

学习学习,最近正想研究功耗分析。

利用vcd文件分析的功耗是芯片的动态功耗吗?还是也包括静态的?

6# zabcabc
primepower利用VCD可以进行门级评估,评估动态功耗

貌似VCD文件要设置dump的开始和终止时间吧?
具体的正在学习中

4# paneric
saif文件是仿真软件直接生成的?
如何生成

很好哈哈哈哈哈

正在学习,望更多分享

很好!xiexie!

谁能详细讲一下啊

怎样把vcd或saif文件导入encounter,能否讲的详细一点,最好有图说明.谢谢!

留名备用,谢谢!

功耗分析只要选取其中一段时间就可以了,不用跑完整个testbench程序,用$dumpon;$dumpoff;控制截取时间段,还有选取相应的层次,如果直接用$dumpvar;可能很多信号在设计中都找不到。
我也在做这个,设计很大,共同学习。

留名,谁能指导下

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top