微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > ICC 关于CTS中的target early delay和target skew选项

ICC 关于CTS中的target early delay和target skew选项

时间:10-02 整理:3721RD 点击:
本人ICC菜鸟,在看到target early delay(workshop上也叫min insertion delay)时候,不明白什么意思,去搜索了一下看到一种解释是这样说的:“当你有两个blocks或modules,而且它们之间有interacting,我们会试图使得insertion
delay小”。 照这个说法来看,insertion delay应该是target skew的一部分才对,那么insertion delay的值应该是小于等于target skew的值才对,但是看到的值好像都是insertion delay的值大于target skew,怎么解释。,还是我理解有误了。

如果No Derate时skew=0ps,则Insertion Delay * OCV Derate - CRPR = Skew with OCV.

skew是skew, insertion delay就是latency,2个不搭嘎的概念,没有关系的

能详细解释一下吗,什么是Insertion Delay * OCV Derate - CRPR = Skew with OCV. 其中OCV CRPR是什么,谢谢高手不吝赐教。

明白你的意思了,是不是说加入insertion delay的目的就是为了解决skew?

可以的,不过不要太长,ocv太差

那么这个target early delay在什么情况下设置?设置多少比较合理?

一般不需要设,这个选项是为了delay clock tree latency用的,除非你想让tree做到某个长度(主要是偏长),
偏短不是想做就能做到的,

想问问,icc里面有什么设置可以控制clock tree的长度上限么?比如说,我将target_skew 设置成0.2,或者更松一些,那么是不是可以让clock tree的buffer短一些?
是否有什么命令可以直接设置这个上限值?

set_clock_latency -max value [get_clocks clk]set_clock_latency -min value [get_clocks clk]
就是限制clock tree长度的

学习了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top