微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > about mcmm

about mcmm

时间:10-02 整理:3721RD 点击:
各位大侠,兄弟对于mcmm的意图还不甚了解,先不考虑multi-mode。比如65nm可能有很多个corner,是否要对每个corner都建立scenario呢?我只针对max and min两种corner去做timing analysis and optimization不可以吗?如果只有max and min是否就不需要建立scenario了?

在65nm ,max 和min timing满足了,其他的不一定能满足,最常见的是温度反转效应。
不一定所有的corner都放进去优化,但是还是检查一下为好,特别是UDSM,流片太贵了。

只要把dominant(main) corner覆盖就行了, 比如65nm 有2个setup critical corner: WC , WCL
2个hold critical corner: BC , LT ,
用这4个就够了,signoff的时候还要多些,不过都相距不大

所有的corner都check下,总是好的,我曾经遇到过问题,优化时当然以max、min

我是否可以针对每个corner进行ocv分析?如果进行ocv分析的话,那么就需要提供max and min lib给工具,而针对每个corner来说,max and min又是怎么定义的?

max, worst case, 如同icfbicfb版大所說, 看WC 跟WCL, 主要修setup
min, best case, 看BC , LT, 修hold

我的意思是WC是一个corner,WCL也是一个corner,我分别建两个scenario来让工具去优化。那么如果采用ocv分析方式的话,就需要指定一个man and min,那么在这个corner内的max and min是什么?

各位大侠,难道没人用过OCV吗

请问:wcl 和 lt是什么的缩写?

还有rcbest和rcworst.....
自己看手册去吧


问个缩写干啥,知道是啥条件比这个重要多了
Worst Case Low Temp
Low Temp

我一般用WCL-Cworst, WC-Cworst, LT_RCworst, ML_RCworst 4个scenario,setup和hold都打开,当然setup在WCL和WC corner下才有vio,LT和ML打开setup主要是修max_tran vio
hold这四个corner修了以后,其他corner基本都没有vio了
90nm一下一般需要ocv分析,一般直接设置timing derate就行了,有的工艺每个corner下有max和min两个库,需要设置set_min_library

难怪guide ocv设置的时候还是用-max -min ,一直觉得是矛盾的

谢谢分享!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top