微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于set_max_capacitance的理解

关于set_max_capacitance的理解

时间:10-02 整理:3721RD 点击:

判断max_capacitance是否违例?

max_capacitance

Maximum capacitance is a design rule constraint. It is set as a pin-level attribute that defines the maximum total capacitive load that an output pin can drive.

set_max_capacitance

Use the set_max_capacitance command to specify a capacitance value on input ports or designs.

假设cell_2B引脚的电容为0.3同时cell_1A引脚的max_capacitance
0.9

当设置set_max_capacitance 0.5 [get_ports B]
(设置AB间连线的负载电容)

那么在做时序分析时

max_capacitance >= Cb + Cab


0.9 >= 0.3 + 0.5

问题1:是否可以断定max_capacitance的时序分析没有问题

其中 Cbcell_2B引脚电容,Cabset_max_capacitance设置的AB间连线的负载电容。

问题2:如上所述的对set_max_capacitance的理解和对时序分析时的max_capacitance的理解是否正确?

自己顶

一般cap还包括net cap, 你这里仅仅是pin cap
total cap= wire cap + pin cap

你好,有个问题需要请教,你看如下两条语句结果是否相同,谢谢
######################################################################
set link_library "* maxlib.db"
set_min_library maxlib.db -min_version minlib.db
set_operating_conditions -max_library maxlib.lib -max $OPCOND_MAX \
-min_library minlib.lib -min $OPCOND_MIN
#######################################################################
set link_library "* maxlib.db minlib.db"
set_operating_conditions -max_library maxlib.lib -max $OPCOND_MAX \
-min_library minlib.lib -min $OPCOND_MIN

不太会用了这种用法,

上一篇:noise好大怎么办
下一篇:create_cell问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top