微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 非同步时钟间的path什么情况下不用设置为false path呢

非同步时钟间的path什么情况下不用设置为false path呢

时间:10-02 整理:3721RD 点击:
如果时序报告中,launch和capture的clock不是同一个,那么就要:
Verify if the launch clock and capture clock and the paths between
the two are valid

请问下,我的设计中一般对不不是同步的时钟都用set_false_path来设置了,
什么情况下,不同步的时钟之间 (频率不是倍数关系,或者不是通过create_generated_clock来产生的) 的path不用设置为false path呢?

一般异步时钟set_clock_group就可以了吧!

主要还是看设计的需求,前端了解这个,

这个看设计需求,有需要check的可以设max_delay

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top