微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > analyze+elaborate问题

analyze+elaborate问题

时间:10-02 整理:3721RD 点击:

dc_sehll>analyze -format verilog mem8.vdc_shell>elaborate mem8
为什么在第二步会出错呢,提示说:
Error: Can't find the design 'mem8'
in the library 'libs'. (LBR-0)
我想问下,第一步analyze之后 手册上说 将产生的中间文件放入到默认的 WORK库中,这个中间文件是什么,WORK库又在哪里(是不是就是当前的目录),怎么修改就正确了呢
多谢大家了先

dc_sehll>analyze -format verilog mem8.v
dc_shell>elaborate mem8
是这样的两句话 上面没有写开

刚看了下 LBR-0的原因报告,如下所示:
****************************************
Report : hdl libraries
Version: C-2009.06
Date: Thu Nov 13 12:36:10 2014
****************************************
Contents of current design libraries
CCSC (/home/szl/software/synopsys/DC2009/packages/ccsc/lib)
This library does not contain any valid design units.
CD (/home/szl/software/synopsys/DC2009/packages/CD/lib)
Error: The library 'CD' is mapped to the directory
'/home/szl/software/synopsys/DC2009/packages/CD/lib' which is not readable. (LBR-2)
COMDISCO_MVL9 (/home/szl/software/synopsys/DC2009/packages/comdisco/lib)
Error: The library 'COMDISCO_MVL9' is mapped to the directory
'/home/szl/software/synopsys/DC2009/packages/comdisco/lib' which is not readable. (LBR-2)
DEFAULT (/home/szl/project/Backend/DC/code)
WORK (/home/szl/project/Backend/DC/code)
This library does not contain any valid design units.
DW01 (/home/szl/software/synopsys/DC2009/dw/dw01/lib)
entity: p nDW01_ABSVAL
architecture:n dDW01_ABSVAL(CLA)
architecture:n dDW01_ABSVAL(CLF)
其中有句话是:WORK (/home/szl/project/Backend/DC/code)
This library does not contain any valid design units.
就是说WORK库中没有合法的设计单元,这是怎么回事呢?是和上面说的'/home/szl/software/synopsys/DC2009/packages/CD/lib' which is not readable. (LBR-2)有关系吗?

'/home/szl/software/synopsys/DC2009/packages/
我按上面的提示找,找到这里的时候,在这个packages文件夹下没有CD这个文件




怎么回事

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top