微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > setup和hold都是正的slack,可是report_constraint -all_violators 却还爆出VIOLATED

setup和hold都是正的slack,可是report_constraint -all_violators 却还爆出VIOLATED

时间:10-02 整理:3721RD 点击:
setup和hold都是正的slack,可是report_constraint -all_violators 却还爆出VIOLATED,不知如何解决,求助!Set_clock_tree_options -max_fanout 设了 32









新手求助

自己要判斷阿, max_trans 的 Required 值與你是做何種製程有關.
min_cap 是可忽略的, max_area 看也知道是不合理的.
作 P&R 不要 Design 的 SDC 照單全收.

setup还有hold需要查,当然transition也是必需要检查的项,所以。

先修设计规则违反吧,再看时序

修下drc吧,我用的命令是:route_opt -incremental -size_only 以前加完dummy和filler之后,会有一些trans violation,用这个命令修复过。不知道适不适合你,仅供参考

0.5、0.6 ns transition不算坏啊,你多快的时钟,

transition 修完再看setup 和hold才是王道

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top