微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > PAD的延时大吗?为什么我做sat的时候都达到5n了?

PAD的延时大吗?为什么我做sat的时候都达到5n了?

时间:10-02 整理:3721RD 点击:

rt~
问问pad的延时大码?我做SAT的时候,怎么PAD的延时达到了5n~
感觉很不正常啊~
ps:第一次加PAD做的STA,不是很懂,求大神指点下

PAD的延时就是很大。

应该是STA吧,PAD延迟有点大的,slow下

可是我觉得这大的也太离谱了吧,本来时钟周期也才10n,加个pad就去了一半,再怎么做综合啊

查看set_load有多大,单位是什么?

我是输入的那个pad延时特别大,clk set_drive为0,那一个pad延时就不大,其他输入我是设置的set_driving_cell延时就特大
还是说,我input的pad不要设set_driving_cell?因为pad的电容很大,所以设driving_cell的时候延时就特别大?

求解答啊,我综合时pad延时也特大,综合都不能通过,set_driving_cell ,set_load 都设置了,是设置的参数不对吗?

设计set_drive_cell如果用的是标准单元的驱动能力,对几pf的PAD来说延迟就大来,sc的驱动一般是uA级的,而PAD的驱动能力是mA级,用PAD驱动速度要快些。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top