微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > dc200809 启动出现错误 求助大家

dc200809 启动出现错误 求助大家

时间:10-02 整理:3721RD 点击:







不知道上面出现的错误怎么修正
下面是synopsys_dc.setup文件:

set plot_command {lpr -Pcsps}
set text_print_command {lpr -Pcsps}
set text_editor_command {emacs %s &}
set command_log_file "./synopsys-dc_shell.log"
set view_command_log_file "./synopsys-dc_shell-view.log"
set find_converts_name_lists "false"
#/**********************************************************************/
set SynopsysInstall [getenv "SYNOPSYS"]
# You can add to this search path if you have your libraries
# stored somewhere else...
set search_path [list . \
[format "%s%s" $SynopsysInstall /libraries/syn] \
[format "%s%s" $SynopsysInstall /dw/sim_ver] ]
#/* ================================================= */
#/* Define a work library in the current project dir */
#/* to hold temporary files and keep the project area */
#/* uncluttered. Note: You must create a subdirectory */
#/* in your project directory called WORK. */
#/* ================================================= */
define_design_lib WORK -path ./WORK
#/* ================================================= */
#/* General configuration settings. */
#/* ================================================= */
set hdlin_check_no_latch true
set compile_fix_multiple_port_nets true
set hdlin_translate_off_skip_text true
set verilogout_write_components true
set verilogout_architecture_name "structural"
set verilogout_no_tri true
set hdlin_translate_off_skip_text true
set bus_naming_style {%s[%d]}
set target_library [list UofU_Digital_v1_2.db]
set synthetic_library [list dw_foundation.sldb]
set synlib_wait_for_design_license [list "DesignWare-Foundation"]
set link_library [concat \
[concat "*" $target_library] $synthetic_library]
set symbol_library [list generic.sdb]

自己顶一下

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top