微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > dc08支持verilog2001语法不?

dc08支持verilog2001语法不?

时间:10-02 整理:3721RD 点击:
rt?谢谢!

verilog 2001 是啥语法, 从年份看,应该支持吧

看看dc 的user guide 不就知道了吗

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top