微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于 .sdb 的问题

关于 .sdb 的问题

时间:10-02 整理:3721RD 点击:
各位高人:
我最近在学习用DC综合,只有 slow.db,没有slow.sdb,如果使用DA的话,有影响吗?
如果有影响,symbol_library 应该如何写?

谢谢大家

sdb是mapping后用到的对应的符号库,如果没有的话,DA会调用synopsys通用符号库generic.sdb

jbnjbnjkj

恩最好还是找到

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top