微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 寄存器实现问题?

寄存器实现问题?

时间:10-02 整理:3721RD 点击:
用VHDL语言实现寄存器是一个什么样的思想啊?是设定一个信号名,然后用负值语句实现吗?比如pci中的寄存器,

寄存器实现问题?
随便查一本vhdl的书,应该都会有描述寄存器的写法。

上一篇:verilog求助!
下一篇:Design for Test

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top