微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 串入并出移位寄存器出现问题?

串入并出移位寄存器出现问题?

时间:10-02 整理:3721RD 点击:
代码编译报错信息为process clocking is too complex,不知道代码哪儿错了,求高手指教!

  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. ENTITY ser_to_para is
  4.   PORT(serin,clk,st:IN STD_LOGIC;
  5.         para:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
  6. END ENTITY ser_to_para;
  7. ARCHITECTURE behav of ser_to_para is
  8. BEGIN
  9. PROCESS(st,clk)
  10. VARIABLE temp:STD_LOGIC_VECTOR(7 DOWNTO 0);
  11. BEGIN
  12. IF(st='1')then
  13. IF rising_edge(clk) THEN
  14. FOR n IN 0 TO 7 LOOP
  15.          temp(n):=serin;
  16.   END LOOP; END IF;
  17. para<=temp;
  18. ELSE
  19. para<="ZZZZZZZZ";
  20. END IF;
  21. END PROCESS;
  22. END behav;

复制代码


Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top