微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 请教一个std_logic_textio与synplify综合问题

请教一个std_logic_textio与synplify综合问题

时间:10-02 整理:3721RD 点击:
我在设计中使用了use IEEE.std_logic_textio.all;然而用synplify综合时有如下出错信息:std_logic_textio is not a design unit,是不是synplify不支持这个文件读写的库啊?再用ISE6.1综合时也有这个问题,提示不支持这个库,到底怎么回事啊

请教一个std_logic_textio与synplify综合问题
use IEEE.std_logic_textio.all
不可综合吧
这个是用来仿真时用的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top