微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > Synplify Pro综合时遇到的问题?

Synplify Pro综合时遇到的问题?

时间:10-02 整理:3721RD 点击:
之前在ISE上综合过,没报错,综合成功,当我用Synplify Pro重新建立工程,添加相同的.v文件,综合时,居然报错了。不知为什么,求知道的解答一下吧!报的错误如下图:
[p=30,2,left]




找不到这个模块,是不是这个模块是xilinx带的,

是的,后来自己写了这么module,就解决问题了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top