微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > Modelsim的signals窗只显视端口信号,不显内部wire行吗?

Modelsim的signals窗只显视端口信号,不显内部wire行吗?

时间:10-02 整理:3721RD 点击:
Modelsim的signals窗只显视端口信号,不显内部wire行吗?

Modelsim的signals窗只显视端口信号,不显内部wire行吗?
当然可以,你把不想显示的删掉就行了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top