微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 关于dump波形的问题

关于dump波形的问题

时间:12-12 整理:3721RD 点击:
我用call fsdbDumpfile {"wave.fsdb"}   dump波形
仿真时ncsim  提示task or function name "fsdbDumpfile" not found
这个以前一直这样用啊
怎么会识别不了呢?

需要设个环境变量 指定一下pli的位置,具体给忘了。。前两天才弄过

环境变量设置 LD_LIBRAY_PATH
编译选项里加 ncloadpli1=debpli:deb_PLIPtr

这个问题解决了,但是又出现新的问题,verdi可以打开.fsdb文件,也能通过波形按钮查看信号波形,但是在代码中ctrl+w将信号添加到波形时,verdi提示unrecognized signals……,no further operation

filelist和fsdb没对应上吧

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top